Icarus Verilog

Developer(s) Stephen Williams
Stable release 0.9.4 / 17 March 2011; 10 months ago (2011-03-17)
Written in C++
Operating system Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X
Platform Cross-platform
Available in English
Type Verilog Simulator
License GNU General Public License
Website http://iverilog.icarus.com/
http://sourceforge.net/projects/iverilog/

Icarus Verilog is an implementation of the Verilog hardware description language. It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions.

Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software.

As of release 0.9, Icarus is composed of a Verilog compiler (including a Verilog preprocessor) with support for plug-in backends, and a virtual machine that simulates the design.

History

Not even the author quite remembers when the project was first started, but CVS records go back to 1998. There have been releases 0.2 through the current stable release 0.9.

Icarus Verilog development is done largely by the sole regular author, Stephen Williams. Some non-trivial portions have been contributed as accepted patches.

External links

Free software portal
Electronics portal